野火电子论坛

 找回密码
 注册

QQ登录

只需一步,快速开始

查看: 11335|回复: 2

基于至简设计法实现的PWM调制verilog

[复制链接]
发表于 2019-7-24 15:07:00 | 显示全部楼层 |阅读模式
本案例:明德扬首创全新FPGA设计技巧--至简设计法,教你如何一步一步去完成一个复杂电路的设计,里面很多有实用技巧,熟练运用这些技巧,有助于你写出非常优秀的FPGA设计代码。非常简洁易读,欢迎比较。
一、   功能描述
脉冲宽度调制(pulse width modelation)简称PWM,利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中脉冲宽度调制是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。
在本章的应用中可以认为PWM就是一种方波。如图所示:
file:///C:\Users\ADMINI~1\AppData\Local\Temp\ksohtml12108\wps3.jpg
PWM波形图
上图是一个周期为10ms,高电平为6ms,低电平时间为4ms的PWM,其占空比(高电平时间占整个周期的比例)为60%。
本模块产生8个不同的PWM脉冲,控制8个LED灯点亮不同时间,从而达到流水灯的效果。每个脉冲周期为10s,占空比从10%~80%。
1.   上电后,led0点亮1s,熄灭9s;再点亮1s,熄灭9s,……,依此不断循环。
2.   led1~led7与led0类似,分别点亮2s~8s,其他时候都是熄灭的。
二、   平台效果图
file:///C:\Users\ADMINI~1\AppData\Local\Temp\ksohtml12108\wps4.jpg
三、   实现过程
本次案例信号列表:
信号名
I/O
位宽
说明
clk
I
1
系统工作时钟100MHz
rst_n
I
1
系统复位信号,低电平有效。
led
O
8
LED输出信号
调制出了8个PWM脉冲分别对应8个LED灯使其分别点亮1-8s,熄灭9-2s。
代码资料下载:http://pan.baidu.com/s/1kUPbXxt

回复

使用道具 举报

发表于 2019-7-31 08:49:27 | 显示全部楼层
1
回复

使用道具 举报

发表于 2020-10-20 09:48:49 | 显示全部楼层
顶一个!!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

联系站长|手机版|野火电子官网|野火淘宝店铺|野火电子论坛 ( 粤ICP备14069197号 ) 大学生ARM嵌入式2群

GMT+8, 2024-4-24 13:06 , Processed in 0.061905 second(s), 24 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表