tuzuyao 发表于 2022-1-23 23:51:27

Quartus II调用ModelSim出错(ModelSim无法启动)

请教大家关于Quartus调用ModelSim的问题:

1 在完整安装完Quartus II 13.0.1.232和modelsim-win64-10.5-se(注:ModelSim未安装Hardware Secuirty Key Driver,否则电脑会蓝屏退出安装流程)之后,选择征途Pro开发板的例程进行仿真,弹出以下错误。



2 截取了.rpt日志进行分析,提示是ModelSim安装或者是环境变量设置的问题。

Info: Start Nativelink Simulation process
Info: NativeLink has detected Verilog design -- Verilog simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode            :RTL
Family                :cycloneive
Quartus root          :d:/fpga_software/quartusii_13.0/quartus/bin64/
Quartus sim root      :d:/fpga_software/quartusii_13.0/quartus/eda/sim_lib
Simulation Tool       :modelsim
Simulation Language   :verilog
Simulation Mode       :GUI
Sim Output File       :
Sim SDF file          :
Sim dir               :simulation\modelsim

=======================================================

Info: Starting NativeLink simulation with ModelSim software
Sourced NativeLink script d:/fpga_software/quartusii_13.0/quartus/common/tcl/internal/nativelink/modelsim.tcl
Warning: File led_run_msim_rtl_verilog.do already exists - backing up current file as led_run_msim_rtl_verilog.do.bak2
Info: Spawning ModelSim Simulation software
Error: Can't launch ModelSim Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.
couldn't execute "D:\FPGA_Software\ModelSim_SE_10_5\win64\modelsim.exe": invalid argument
    while executing
"exec $msim_exec_exe $msim_exec_option-l msim_transcript -do $msim_do_file_name \& "
Error: NativeLink simulation flow was NOT successful

3 排查ModelSim安装和环境变量均正常,如下图(注:用户环境变量和系统变量都试过,都不管用)。




4 查看网上类似问题解答提示需要在Quartus II中配置ModelSim执行程序,检查相关设置正常


请大家帮忙看看是什么问题,多谢!

Hhyt 发表于 2022-1-24 09:00:50

单独打开modelsim是否会报错,检查是否关联正确,自己新建工程试试

tuzuyao 发表于 2022-1-24 13:17:58

Hhyt 发表于 2022-1-24 09:00
单独打开modelsim是否会报错,检查是否关联正确,自己新建工程试试

Hello版主,单独打开ModelSim不会报错,是OK的

兔八哥 发表于 2024-1-19 00:55:45

你好,我也遇到了类似问题。请问你解决了吗,怎么解决的?
页: [1]
查看完整版本: Quartus II调用ModelSim出错(ModelSim无法启动)